VIO(Virtual Input/Output)IP 核,即虚拟输入输出 IP,可以通过调试界面模拟 IO 的变化,这可以在板子没有按键等外设、或外设不足的情况下,来模拟外部输入。然而网上关于 VIO 的教程都说的不是很清楚,因此这里进行了一系列的测试,并在此进行记录。

  VIO IP 的配置很是简单,这里不表,下面的示例代码中例化了一个一输入、两输出的 VIO,线宽分别为 1, 1, 8:

//test VIO(Virtual Input/Output)
module main25(
input	wire			clk_sys,	//OCXO_10M

output	wire	[3:0]	LED	
);

reg				vio_in0;
wire			vio_out0;
wire	[7:0]	vio_out1;

always @(*) begin
	vio_in0		<= ~vio_out0;
end

assign	LED		= vio_out1[3:0];	//用VIO_O来控制外设LED灯

//VIO
vio_main25 vio_inst(
	.clk			(clk_sys),
	.probe_in0		(vio_in0),		//in则是被监视的信号    不过这个不如ILA好用
	.probe_out0		(vio_out0),		//out的值是在VIO界面里可以控制修改的
	.probe_out1		(vio_out1)
);

//ILA
ila_main25 ila_inst(
	.clk		(clk_sys),

	.probe0		(vio_in0),
	.probe1		(vio_out0),
	.probe2		(vio_out1)
);

endmodule

  需注意,VIO 的 probe_in 是监视器,而 probe_out 是在 VIO 界面里可以修改的值

  编译后,VIO 界面默认是没有打开的,可以点击左边红框里的 hw_vio 打开这个界面:

在这里插入图片描述

添加信号后,可以修改两个 probe_out 的值

在这里插入图片描述

修改 probe_out0 的值,可以监视到 probe_in0 随之改变;修改 probe_out1 的值,则可以观察到板子上 LED 灯状态的改变。

(完)

点赞(0) 打赏

评论列表 共有 0 条评论

暂无评论

微信公众账号

微信扫一扫加关注

发表
评论
返回
顶部