bug 如下

找到这个对应文件tb_top.sv的对应行,发现是一个 `include "inc_tb_tests_xxx.sv"

问题点:头文件,重复定义,那么

解决方法- 在被include的文件首尾加入

`ifndef MY_TRANSACTION__SV
`define MY_TRANSACTION__SV
 
//my_codes
 
`endif

类似的

`ifndef MY_TRANSACTION__V

`define MY_TRANSACTION__V

//my_codes
 
`endif

`ifndef MY_TRANSACTION__VH

`define MY_TRANSACTION__VH

//my_codes
 
`endif

报错消失

注意:所有要编译文件放在同一个文件夹中,且编译时在该文件夹中进行编译;

点赞(0) 打赏

评论列表 共有 0 条评论

暂无评论

微信公众账号

微信扫一扫加关注

发表
评论
返回
顶部