本文作为SpinalHDL学习笔记第二十九篇,介绍SpinalHDL仿真相关内容。

与往常一样,可以使用标准仿真工具来仿真 SpinalHDL 生成的 VHDL/Verilog。然而,从 SpinalHDL 1.0.0开始,该语言集成了一个 API 来编写测试平台并直接在 Scala 中测试您的硬件。该 API 提供了读取和写入 DUT 信号、分裂和合并仿真的进程、休眠和等待直到达到给定条件的功能。因此,使用 SpinalHDL 的仿真 API,可以轻松地将测试平台与最常见的 Scala 单元测试框架集成起来。

为了能够仿真用户定义的组件, SpinalHDL 使用外部 HDL 仿真器作为后台。目前支持四种仿真器:

• Verilator

• GHDL

• Icarus Verilog

• VCS (实验性,自 SpinalHDL 1.7.0 起)

• XSim (实验性,自 SpinalHDL 1.7.0 起)

使用外部 HDL 仿真器,可以直接测试生成的 HDL 源文件,而不会增加 SpinalHDL 代码库的复杂性。

目录:

1.后台依赖的安装说明

2.Icarus Verilog 的设置和安装

3.VCS 仿真配置

4.VCS 标志

5.Verilator 的设置和安装

要启用 SpinalSim,必须在 build.sbt 文件中添加以下行:

fork := true

此外,还必须在测试平台源文件中添加以下导入:

import spinal.core._
import spinal.core.sim._

另外,如果要使用 gmake 而不是 make(例如 OpenBSD),可以将 SPINAL_MAKE_CMD 环境变量设置为“gmake”

1.后台依赖的安装说明

GHDL 的设置和安装

注解: 如果在 SpinalHDL 安装和设置 期间安装了推荐的 oss-cad-suite,可以跳过下面的说明 - 但您需要激活 oss-cad-suite 环境。

尽管 GHDL 在 Linux 发行版软件包系统中普遍可用,但 SpinalHDL 依赖于 GHDL v0.37 发布后添加的GHDL 代码库的错误修复。因此,建议从源代码安装 GHDL。还必须安装 C++ 库 boost-interprocess,它包含在类似 debian 发行版的

点赞(0) 打赏

评论列表 共有 0 条评论

暂无评论

微信公众账号

微信扫一扫加关注

发表
评论
返回
顶部